Globaali puolijohdekilpailu on muuttumassa sodaksi

Vain viikkoja sen jälkeen, kun Yhdysvaltain presidentti Joe Biden ja Kiinan presidentti Xi Jinping tapasivat henkilökohtaisesti parantaakseen maailman kahden suurimman talouden välisiä suhteita, Kiina nosti kiistan Maailman kauppajärjestön kanssa, mikä entisestään kärjisti kahden maan välistä konfliktia, joka kärjistyi, kun Yhdysvallat otti käyttöön. hakkeen vientiä koskevat pakotteet aiemmin tänä vuonna. Bloombergin mukaan Japani ja Alankomaat liittyvät Yhdysvaltoihin pyrkiessään kiristämään siruvientiä Kiinaan, ja jopa puolijohdejättiläiset ASML ja Tokyo Electron vaikuttavat siihen.

Päivitys puolijohdeuniversumista

Kiinan kauppaministeriö sanoi maanantaina, että WTO-valitus oli laillinen ja välttämätön toimenpide Kiinalle puolustaakseen "laillisia oikeuksiaan ja etujaan", kun Yhdysvaltain kauppaministeriö vaikeutti Kiinan mahdollisuuksia ostaa tai kehittää kehittyneitä puolijohdesiruja. Yhdysvaltojen käyttöön ottaman vientirajoituksen tarkoituksena oli estää Kiinan kykyä käyttää huippuluokan yhdysvaltalaista teknologiaa sotilaallisiin tarkoituksiin.

Geopoliittinen riski

Vuonna 2021 puolijohdemarkkinat ylittivät 500 miljardia dollaria, mutta niiden arvioidaan kasvavan biljoonan dollarin teollisuudeksi vuosikymmenen loppuun mennessä. Taiwan on edelleen maailmanlaajuinen keskus, sillä sillä on yli 90 prosenttia maailman markkinaosuudesta teknologian huippuversioissa mitattuna. Mutta kasvavat pelot Kiinan jonkinlaisesta sotilaallisesta interventiosta Taiwanissa ovat saaneet Yhdysvaltojen, Japanin ja Euroopan hallitukset vaatimaan paikallista sirutuotantoa tarvittavan toimituksen varmistamiseksi. Sen lisäksi, että puolijohteet ovat olennainen osa melkein kaikkia nykyaikaisia ​​laitteita, ne ovat myös kansallisen turvallisuuden ja talouden kysymys, ja COVID-19 osoittaa jo, kuinka huonosti asiat voivat mennä, kun tarjonta on pulaa.

SEMI:n syyskuun raportin, yhdysvaltalaisen puolijohdeteollisuuden organisaation, mukaan vähintään 81 uutta sirulaitosta rakennetaan vuosina 2021-2025, joista 10 Euroopassa, 14 Yhdysvalloissa ja 21 Taiwanissa.

Eurooppa ja Yhdysvallat eivät ole päättäneet jäädä jälkeen

Aiemmin tänä vuonna Euroopan komissio ilmoitti investoivansa 43 miljardia euroa kannustaakseen maailman suurimpia siruvalmistajia perustamaan tehtaita Eurooppaan, mukaan lukien TSMC, maailman suurin sopimussiruvalmistaja.

Bryssel toivoo investointien kaksinkertaistavan EU:n osuuden globaaleista puolijohdemarkkinoista nykyisestä alle 10 prosentista 20 prosenttiin vuosikymmenen loppuun mennessä. Yhdysvaltalainen jättiläinen Intel on sitoutunut 17 miljardia euroa mega-sivustoon Saksassa. Myös eurooppalaiset siruvalmistajat, kuten STMicroelectronics ja Infineon, laajentavat toimipisteitään Euroopassa.

Euroopalla on jalokiviä, kuten WISeKey International Holding WKEY, johtava kyberturvallisuuden tekoäly- ja IoT-yritys, joka julkaisi juuri The One Humanity ID -alustan 8. joulukuuta. Alusta tukee keskeisiä aloitteita, jotka liittyvät NFT-taideteosten kauppaan ja muihin ihmisten välisiin vuorovaikutuksiin, mikä vahvistaa yrityksen roolia auttamassa maailmaa tervetulleeksi uuteen aikakauteen, älykkyyden aikakauteen.

Euroopalla on ainutlaatuinen osaaminen ja siksi salainen ase

Oberkochen, pieni kaupunki Saksassa, on Carl Zeiss SMT:n pääkonttori, ainoa maailman edistyneimmissä sirujenvalmistuslaitteissa käytettyjen ultratarkkojen peilien ja linssien valmistaja. Heidän laitteissaan on vertaansa vailla oleva tarkkuus, joka on 200 kertaa suurempi kuin James Webbin avaruusteleskooppi. Yksi sen tärkeimmistä asiakkaista on ASML, hollantilainen yritys, jolla on maailmanlaajuinen monopoli äärimmäisen ultraviolettilitografia (EUV) -koneiden valmistuksessa, joita tarvitaan huippuluokan lastujen valmistukseen. Vielä tärkeämpää on, että ilman näitä optiikkaa ASML ei pystyisi valmistamaan EUV-koneitaan, joita jopa Kiina tarvitsee tehdäkseen edistyneempiä siruja, jotka ovat tekoälyn, autonomisen ajamisen, kvanttilaskennan ja huomisen tekniikan rakennuspalikoita. Voidaan sanoa, että ASML-koneet ja Zeiss-optiikka pitävät sisällään uuden aikakauden avaimen. Näin ollen, vaikka Eurooppa on jäljessä, se voi kääntää asiat päinvastaiseksi edistyneissä lastunvalmistuslaitteissa ja -tiedoissa piilevällä vahvuudellaan.

Euroopalla ja Yhdysvalloissa on vielä huomattavia aukkoja täytettävänä

Monista tuotantopaikoista huolimatta vain yksi Irlannin tehdas pystyy valmistamaan alle 10 nanometrin haketta, mutta se ei ole vielä täysin toiminnassa. Haasteena on myös se, että tarvitaan valtava määrä pääomaa ja ammattitaitoisia työntekijöitä saadakseen kyseiset tehtaat tekemään sen, mihin ne on tarkoitettu. Mitä tulee USA:han, presidentti Biden allekirjoitti elokuussa merkittävän lain 52.7 miljardin dollarin apurahojen myöntämisestä puolijohteiden tuotantoon ja tutkimukseen sekä sirutehtaiden verohyvitykseen, jonka arvo on 24 miljardia dollaria. Mutta sirujen suunnittelukyky ja tarjonta ovat rajallisia sekä läsnäolon että mittakaavan suhteen, joten tässä kilpailussa on odotettavissa monia uusia kehityssuuntia, sillä puolijohdesodan maailmanvoittajaa on mahdotonta ennustaa tässä jatkuvasti muuttuvassa globaalissa ilmastossa.

Katso lisää Benzingalta

Älä missaa reaaliaikaisia ​​​​hälytyksiä osakkeistasi – liity Benzinga Pro ilmaiseksi! Kokeile työkalua, joka auttaa sinua sijoittamaan älykkäämmin, nopeammin ja paremmin.

© 2022 Benzinga.com. Benzinga ei tarjoa sijoitusneuvontaa. Kaikki oikeudet pidätetään.

Lähde: https://finance.yahoo.com/news/global-semiconductor-race-turning-war-161159076.html