Kongressi antaa miljardeja Yhdysvaltain puolijohdeteollisuudelle. Helpottaako se sirupulaa?

Yhdysvaltain CHIPS and Science Act -laki, jonka presidentti Joe Bidenin odotetaan allekirjoittavan, varaa 280 miljardia dollaria täyteen luetteloon projekteista ja prioriteeteista, mukaan lukien 52 miljardia dollaria tukina kotimaiseen puolijohteiden tuotantoon.

Sen on tarkoitus "tarttua tulevien vuosikymmenien tulevaisuuteen", presidentti sanoija "tuoda puolijohteiden toimitusketju Kiinasta Michiganiin", kyseisen osavaltion kuvernöörin mukaan, Gretchen Whitmer.

ILMOITUS

Mutta ratkaiseeko se nyt autoteollisuutta ja muita vaivaavan sirupulan, ja tarvitseeko edustajainhuoneen puhemiehen Nancy Pelosin vielä vierailla Taiwanin suuressa siruvalmistajassa tulevaisuudessa pyytää apua?

Kuten voit kuvitella, vastaus on monimutkainen, joten anna minun jakaa se joihinkin sulaviin osiin

CHIPs-lakiehdotuksen tavoitteena on saada takaisin Aasialle menetetty kotimainen tuotantojohtajuus

Yhdysvaltojen osuus maailmanlaajuisesta puolijohteiden valmistuskapasiteetista on pudonnut vuoden 37 1990 prosentista nykyiseen 12 prosenttiin. Lisäksi liittovaltion investoinnit sirututkimukseen ja tuotekehitykseen ovat pysyneet tasaisena prosenttiosuutena BKT:sta, kun taas muut maat ovat investoineet voimakkaasti, koska he ovat nähneet alan strategisen luonteen. Väitän, että amerikkalaiset menettivät kiinnostuksensa sirujen valmistukseen (huomattavaa poikkeusta lukuun ottamatta IntelINTC
, Micron, Texas InstrumentsTXN
ja muutama muu), koska oli paljon kannattavampaa suunnitella ne ja antaa jonkun muun tehdä ne todella kovaa työtä niiden tekemisestä.

ILMOITUS

Monet ihmiset eivät uskoneet, että valmistuspuoli voisi koskaan olla niin tuottoisa, ja pitkään aikaan se ei ollutkaan. Mutta TSMC pystyi yhdistämään volyymit eri asiakkailta kaikkialta maailmasta, ja se oli aggressiivista investoiessaan uusiin kehittyneisiin teknologioihin. Yritykset kuten AppleAAPL
, QualcommQCOM
ja taiwanilainen MediaTek lähetti TSMC:lle paljon volyymia, koska he tarvitsivat tiheimpiä siruja, ja seuraavaksi tiedät, TSMC on maailman johtava. Itse asiassa yhtiöltä kesti 35 vuotta vakaata investointia. Jonkin aikaa sen sijoitukset olivat muutama miljardi dollaria vuodessa; viime aikoina se on ollut 30–40+ miljardia dollaria vuosi44 miljardia dollaria vuonna 2022. Sen vuoksi 52 miljardia dollaria ei vaikuta paljolta rahalta, pikemminkin käsirahalta.

Samaan aikaan Intel on kärsinyt takaiskuista. Se oli ennen kaksi sukupolvea TSMC:tä edellä, nyt se on jäljessä ja yrittää kuroa kiinni. Historiallisesti Intel on ajanut vain "fabeja" - lyhenne tehtaille, joissa siruja valmistetaan omaan kulutukseensa, mutta sen jälkeen se perusti Intel Foundry Services -yrityksen aloittaakseen sirujen tuotannon muille yrityksille. Intel Foundry Services voitti äskettäin MediaTekin asiakkaana, ja vaikka siitä ei ole raportoitu kovin laajasti, se on mielestäni iso juttu. MediaTek on loistava yritys, ja se on vain korttelin päässä TSMC:n pääkonttorista Hsinchu Science Parkissa Taiwanissa.

Tuotantojohtajuus Aasiassa merkitsee monia asioita

Kun puhumme sirujen toimitusketjusta, alueen eri maat johtavat eri tuotteissa. Korealaiset Samsung ja SK Hynix ovat johtavia muistisirujen valmistajana, vaikka yhdysvaltalainen Micron on yksi kolmesta suuresta ja japanilainen Kioxia on johtava flash-muistisirujen valmistaja. Taiwan ja erityisesti TSMC on maailman johtava logiikkasirujen, henkilökohtaisiin tietokoneisiin ja datakeskuspalvelimiin käytettävien mikroprosessorien ja edistyneimpien puhelinsirujen valmistusprosessien maailmanlaajuinen johtaja. Mutta se valmistaa myös monia muita laitteita perässä olevalla teknologialla, ja muut taiwanilaiset yritykset, kuten United Microelectronics, ovat myös suuria toimijoita.

ILMOITUS

Kiinan kansantasavallalla (Kiinalla) on kasvava läsnäolo muistisiruissa, ja sillä on monia yrityksiä, jotka tuottavat viimeisimpiä teknologioita. Shanghaissa toimiva Semiconductor Manufacturing International Corp. on johtava logiikka, Yangtze Memory Technologies muistisiruissa. Singapore on myös pitkään ollut merkittävä toimija, ja se on näkemässä spurttia investoinneissa uusiin tehtaisiin. Japani on myös johtava monien hakkeen valmistukseen tarvittavien materiaalien toimittaja.

Joten kyse on vähemmän johtajuuden menettämisestä Kiinalle, vaan enemmän alasta, joka on kasvanut valtavasti monien eri asiantuntijoiden avulla. USA:n haasteena on se, että amerikkalaiset yritykset eivät ole onnistuneet tekemään valtavia investointeja kotimaiseen tuotantoon pysyäkseen Aasian tahdissa, sekä haketehtaiden että monien näiden tehtaiden ruokkimiseen tarvittavien raaka-aineiden osalta. Yhdysvallat on edelleen kaukana johtavasta suunnittelutyökaluista ja monista näissä tehdasteollisuudessa käytettävistä valmistustyökaluista.

Sirujen pakkaaminen on elintärkeää toimitusketjun kestävyyden kannalta, mutta se on vähemmän lumoava

Yksi asia, josta muistutan ihmisiä jatkuvasti, on se, että sirujen valmistus on yksi asia, ne täytyy silti pakata elektroniikkalaitteiden valmistukseen käytettäväksi. Hakepakkaus muutti Aasiaan puoli vuosisataa sitten, koska se oli erittäin työvoimavaltainen prosessi. Työntekijät viipaloivat kiekot yksittäisiksi muottiksi ja katsoivat sitten stereomikroskooppien läpi ja kiinnittivät pieniä kultalankoja tyynyihin.

ILMOITUS

Ne ajat ovat jo kauan takana, mutta se on edelleen suhteellisen työvoimavaltaista. Suurin osa Taiwanissa, Japanissa, Koreassa, Yhdysvalloissa ja Euroopassa valmistetuista siruista lähetetään Kaakkois-Aasiaan tai Kiinaan ns. ulkoistetuksi kokoonpanoksi ja testaukseksi (OSAT). Ja edes 280 dollaria CHIPS- ja Science Billissä ei ratkaise tätä ongelmaa. Lakiehdotuksessa osoitetaan 2.5 miljardia dollaria pakkauksiin, mutta emme näe paljon kuvernöörien juoksevan ympäriinsä yrittävän saada lastupakkaustehtaita osavaltioihinsa. Mutta heidän pitäisi!

Palauttaako kaikki se raha Yhdysvaltain johtajuuden?

Hyvä osa 280 miljardista dollarista menee tutkimukseen ja kehitykseen, ja se auttaa paljon. Mutta eurooppalaiset, japanilaiset, korealaiset ja tietysti kiinalaisetkin investoivat voimakkaasti. Yhdysvallat tarvitsee pysyvyyttä ja halukkuutta investoida pidemmällä aikavälillä. Kiina korvamerkitsi 150 miljardia dollaria vuonna 2014, Euroopan unioni allekirjoitti 43 miljardia euroa aiemmin tänä vuonna ja Japani 1.4 biljoonalla jenillä. Tämä on pitkä peli ja sitä pelataan vuosikymmeniä.

ILMOITUS

Mutta entä nuo sirupula?

Sirupula johtui pandemian aiheuttamista muuttuvista kysyntätavoista. Kotona työskentelyvälineiden, kuten kannettavien tietokoneiden, kodinkoneiden ja muiden tavaroiden, kysyntä kasvoi, ja valmistajilla oli vaikeuksia siirtää tuotantoa. minä selitti tämän jokin aika sitten, mutta on tärkeää ymmärtää, että monet asiat ovat sekoittuneet tässä: johtajuuden menetys huippuluokan markkinoilla, mutta riittämätön kapasiteetti vastata viimeisimpien teknologioiden kysynnän kasvuun (eli, vanhempaa tavaraa, joka ei ole enää kärjessä). Viimeisimpien teknologioiden kapasiteetti oli tiukka ennen pandemiaa, koska tällaisten sirujen valmistaminen ei ole erityisen kannattavaa ja haluat käyttää tehdasasi melko täyteen ladattuina, jotta voit ansaita rahaa. Jos rakennat uuden tehtaan osalla noista tukirahoista, se auttaa varmasti pulaan, kun se tulee käyttöön yli kahden vuoden kuluttua. Mutta siihen mennessä monet ovat jo huolissaan yltäkylläisyydestä, koska valmistajat ovat jo lisänneet kapasiteettia kuin hulluja.

Johtajuuden menetys huippuluokan markkinoilla on täysin erilainen ongelma. Kiireellisyydellä on paljon tekemistä globaalin geopolitiikan kanssa. Asian ydin on, että on erilaisia ​​näkemyksiä siitä, onko Taiwan osa Kiinaa ei. Yhdysvaltojen virallinen kanta on "Yksi Kiina" -politiikka, jonka mukaan Kiinan kansantasavalta (Kiina) on "Kiinan ainoa laillinen hallitus", mutta se tunnustaa vain Kiinan kannan, jonka mukaan Taiwan on osa Kiinaa. Kun Kiina pitää uhkaavampia ääniä Taiwanista ja kun puhuja Pelosi sekoittelee kattilaa, monet hallituksessa ja muualla ovat ymmärtäneet, että jos Taiwanille tapahtuu jotain pahaa, länsi joutuu loukkaantumisen maailmaan, koska se on riippuvainen Taiwanista. sirujen valmistajat. Ja sirut ovat kaikessa.

Paraneeko pula?

Sirupula paranee, mutta ratkaisua on vaikea selittää CHIPS- ja tiedelain ansioksi. Jos mitään, siihen mennessä, kun rahoitus muuttuu uusiksi tuotantolaitoksiksi, jotka tuottavat haketta, olemme todennäköisesti ylittäneet pulamme ja valmistajat saattavat tuijottaa ylimääräistä (ja erittäin kallista) kapasiteettia. Tuolloin Yhdysvaltojen pitäisi olla huolissaan, koska silloin johtajien on kerättävä rohkeutta ja poliittista tahtoa, eivätkä he saa unohtaa tavoitetta vahvistaa kotimaista teollisuutta.

ILMOITUS

Lähde: https://www.forbes.com/sites/willyshih/2022/08/03/congress-is-giving-billions-to-the-us-semiconductor-industry-will-it-ease-chip-shortages/