Kehittynyt mikrosirutuotanto perustuu Taiwaniin

Puolijohdevalmistus luo perustan mikrosirutuotannolle ja auttaa siten pyörittämään maailmaamme monella tapaa, sillä sirut eivät toimi vain tietokoneiden, älypuhelimien ja muun kulutuselektroniikan virtalähteenä, vaan ovat myös autoteollisuuden tukipilari, ohjaavat lääkinnällisiä laitteita ja pitävät verkkoinfrastruktuurit käynnissä.

Boston Consulting Groupin julkaisemat tiedot osoittaa, kuinka keskittynyttä yhteen paikkaan puolijohdeviipaleiden, niin kutsuttujen kiekkojen, tuotanto edistyneimmille laskenta- ja käsittelysiruille on. Taiwanissa valmistetaan 92 prosenttia loogisten puolijohteiden tuotannosta, jonka komponentit ovat pienempiä kuin 10 nanometriä (joka mahdollistaa suuremman prosessointikapasiteetin pienemmälle alueelle ja on samalla nopeampi ja energiatehokkaampi).

Alle 10 nanometrin puolijohdeprosessit aloitettiin Taiwanissa ja Etelä-Koreassa. Muut tuotantokeskukset eivät seuranneet esimerkkiä tämän tyyppisten kehittyneiden kiekkojen tuottamisessa logiikkasiruille, kuten vuoden 2019 datan grafiikka osoittaa. Vaikka tyyppi muodosti vain 2 % globaalista puolijohteiden tuotantokapasiteetista tuona vuonna, sen osuuden odotetaan kasvavan osana meneillään olevaa innovaatiota alalla ja se on jo avainasemassa huipputeknologiassa, esimerkiksi älypuhelimissa.

Pandemian aikana tuotantopaikat eivät juurikaan muuttuneet, mutta hallitukset alkavat nyt toimia. Covid-19-toimitusketjun mullistusten jälkeisen sirupulan ja Kiinan ja Taiwanin välisten geopoliittisten jännitteiden noustessa korkealle myös vuonna 2022, Yhdysvaltojen ja Euroopan unionin hallitus, jotka ovat molemmat riippuvaisia ​​huipputekniikan mikrosiruista, ovat alkaneet aloitteita status quon haastamiseksi. Puolijohdetuotannon valtavia maailmanlaajuisia eroja tarkasteltaessa saattaa kuitenkin olla pitkä matka todellisen muutoksen saavuttamiseen. Esimerkiksi yhdysvaltalainen siruvalmistaja IntelINTC
on vasta nyt julkaisemassa ensimmäistä alle 10 nanometrin tuote, kun taas Taiwan Semiconductor Manufacturing Company oli tehnyt niin vuonna 2016.

USA ja EU ottavat kiinni

Elokuussa presidentti Joe Biden allekirjoitti sopimuksen Chips+ lasku lakiin, joka varaa 52 miljardia dollaria yhdysvaltalaisille siruvalmistajille, mukaan lukien tutkimukseen ja kehitykseen liittyvät varat alan siirtyessä yhä pienempiin solmuihin ja yhä nopeampiin ja tehokkaampiin tuotteisiin. Tällä viikolla tapaamisessa Kanadan ja Meksikon johtajien kanssa, mikrosirutuotannon tehostaminen oli jälleen asialistalla, kun kolme maata valmistautuvat synkronoimaan puolijohteiden toimitusketjujaan, mikä voi sisältää uusien tuotantolaitosten rakentamisen Meksikoon. Euroopan unioni työskentelee tällä välin Euroopan sirulaki noudattamalla samanlaisia ​​homeshoring- ja nearshoring-ohjelmia.

Sekä Euroopassa että Yhdysvalloissa oli aiemmin suurempi osa globaalista puolijohteiden tuotantokapasiteetista, ja ne myös mukautuivat aikoinaan nopeammin alan innovaatioihin. Vuonna 1995 Euroopassa ja Yhdysvalloissa oli a yhdistetty globaali tuotantokapasiteetin osuus 36 % verrattuna nykyiseen alle 20 %:iin. Mukaan lukien vain suurempia kiekkoviipaleita, joiden halkaisija on vähintään kahdeksan tuumaa– 1990-luvun alun innovaatio – niiden yhdistetty tuotantokapasiteetti oli yli 80 prosenttia jo vuonna 1990.

Yli 10 nanometrin loogisten puolijohdeprosessien tuotannossa Kiina on Taiwanin suurin kilpailija, kun taas Yhdysvallat on edelleen yksi suurimmista toimijoista. Muistisiruissa käytetyillä puolijohteilla on vahva tukikohta Japanissa ja Etelä-Koreassa. Muut puolijohteet, kuten diodit, virtalähdepiirit ja transistorit, ovat pirstoutuneimmat markkinat, mutta kehittyneimpien puolijohdetuotteiden valmistajat jäävät nyt suurelta osin pois markkinoilta.

-

Karttannut Statista

Lähde: https://www.forbes.com/sites/katharinabuchholz/2023/01/13/advanced-microchip-production-relies-on-taiwan/